Datamaskin
  | Hjem | Hardware | Nettverk | Programmering | Software | Feilsøking | Systems | 
Hardware  
  • Alt-i - ett-skrivere
  • Apple Computers
  • BIOS
  • CD-og DVD -stasjoner
  • CPUer
  • Computer Drivere og bagasje
  • Skjermer
  • Datautstyr
  • Datamaskin Strøm Kilder
  • Computer Skrivere
  • Computer oppgraderinger
  • desktop
  • Elektronisk boklesere
  • Eksterne harddisker
  • Flash-stasjoner
  • Input & Output Devices
  • Kindle
  • Bærbare datamaskiner
  • stormaskiner
  • Mus og tastatur
  • netbooks
  • Network Equipment
  • Nook
  • bærbare datamaskiner
  • Annen Computer Hardware
  • PC Datamaskiner
  • projektorer
  • RAM, kort og hovedkort
  • Skannere
  • servere
  • lydkort
  • tavle-PCer
  • skjermkort
  • arbeidsstasjoner
  • iPad
  •  
    Datamaskin >> Hardware >> Computer Drivere og bagasje >> Content
    Forskjellen mellom Verilog & SystemVerilog
    Verilog er en HDL - maskinvare beskrivelse språk - som brukes av utviklere for å beskrive hardware . Verilog navn er avledet fra språkets evne til å både kontrollere og logge utvikling og implementering av elektroniske komponenter. SystemVerilog er en forlengelse av Verilog , og utdyper den HDL protokoller . På grunn av deres forhold, de to HDL er er veldig like. Men det er noen viktige faktorer som gjør det mulig å skille dem fra hverandre . Programming Interface

    SystemVerilog søker å fokusere mulighetene til Verilog og forbedre på språkets evne til å kontrollere IP - baserte databrikker . SystemVerilog utdyper Verilog med gjennomføringen av " C" dataspråket støtte, slik at utviklere å definere HDL protokoller i populære programmering språk som C og C + + .
    Development
    < p> Verilog ble utviklet av automatiserte Integrerte Design Systems i 1985 . Nitten år senere introduserte Accellera SystemVerilog å utvide Verilog evner. Verilog startet som en privat HDL , før de ble offentliggjort i 1980 . Etter Verilog ble en offentlig HDL , var Acellera i stand til å både utvide standarden til SystemVerilog og opprettholde den foreldreløse Verilog HDL .
    Object og Påstand - baserte Verification
    < p > i motsetning Verilog , inneholder SystemVerilog HDL både objekt - basert og påstand - baserte verifisering. SystemVerilog kan brukes til å lage true /false -type påstander om brukte test moduler, som kutter noe av arbeidet ut av å implementere eksterne test moduler for godkjenningsprosessen .
    IEEE Labels

    Verilog er tildelt IEEE 1364 klassifisering av Institute of Electrical and Electronics Engineers, mens SystemVerilog er merket som IEEE 1800 . IEEE bestemmer seg på et sett av standarder , eller spesifikasjoner , skal at prosjekter møtes for å bære " IEEE " etiketten. De numeriske etiketter bidra til å skille Verilog og SystemVerilog fra IEEE utallige andre standarder og prosjekter - er det over 1300 maskinvare og programvare protokoller utviklet av IEEE komiteen

    .

    früher :

     Weiter:
      Relatert Artike
    ·Hvor er Windows XP drivere Lagret 
    ·Hvordan Indirekte Send MP3-filer til telefonen 
    ·Hvordan ta ut harddisken i en My Book World Edition 
    ·Hva Er T2400 prosessor 
    ·Hvordan bli kvitt klikke støy på Tower din 
    ·Hvordan koble en Epson projektor til en datamaskin 
    ·Slik feilsøker en Sonic RecordNow Dual Layer Problem 
    ·Filing Systems i Computers 
    ·DirectX 9-kompatibelt kort 
    ·Hvordan Clone en bærbar PC HD 
      Anbefalte artikler
    ·En liste over deler som trengs for å bygge en Gaming P…
    ·Hvordan legge til blekk til en Lexmark 27 
    ·Intel Hovedkort E139761 Spesifikasjoner 
    ·Slik kjører du programmet fra en USB -stasjon i stedet…
    ·Informasjon og fakta om Apple Powerbook G4 Laptop 
    ·Du kan oppgradere til en kraftigere laptop batteri 
    ·Hvordan Uttrykk Logg på en TI -89 
    ·Slik installerer du et RAM i Mac Mini 
    ·Stock Drive komponenter 
    ·Mikrokontroller og en Embedded System 
    Copyright ©  Datamaskin  http://www.datamaskin.biz/